0去购物车结算
购物车中还没有商品,赶紧选购吧!
当前位置: 图书分类 > 信息技术 > 计算机网络 > EDA技术实用教程

相同语种的商品

浏览历史

EDA技术实用教程


联系编辑
 
标题:
 
内容:
 
联系方式:
 
  
EDA技术实用教程
  • 书号:7030108531
    作者:潘松,黄继业
  • 外文书名:
  • 装帧:平装
    开本:16开
  • 页数:
    字数:554000
    语种:中文
  • 出版社:科学出版社
    出版时间:2002-11-28
  • 所属分类:TP8 远动技术
  • 定价: ¥33.00元
    售价: ¥26.07元
  • 图书介质:

  • 购买数量: 件  缺货,请选择其他介质图书!
  • 商品总价:

内容介绍

用户评论

全部咨询

  本书根据课堂教学和实验的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术及相关知识做了系统和完整的介绍。
  全书内容分12章,详细介绍了EDA的基本知识、常用的EDA工具的使用方法和目标器件的结构原理、设计输入方法、VHDL的设计优化、基于EDA技术较典型的设计项目等内容。各章都安排了相应的习题和实验,并附参考答案。
  本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计或EDA课程的教材及实验指导书,也可作为相关专业技术人员的参考书。
  • 暂时还没有任何用户评论
总计 0 个记录,共 1 页。 第一页 上一页 下一页 最末页

全部咨询(共0条问答)

  • 暂时还没有任何用户咨询内容
总计 0 个记录,共 1 页。 第一页 上一页 下一页 最末页
用户名: 匿名用户
E-mail:
咨询内容:

目录

  • 第1章 概述
    1.1 EDA技术及其发展
    1.2 EDA技术实现目标
    1.3 硬件描述语言VHDL
    1.4 VHDL综合
    1.5 基于VHDL的自顶向下设计方法
    1.6 EDA与传统电子设计方法的比较
    1.7 EDA的发展趋势
    习题
    第2章 EDA设计流程及其工具
    2.1 FPGA/CPLD设计流程
    2.2 ASIC及其设计流程
    2.3 常用EDA工具
    2.4 MAX+plusII概述
    2.5 IP核
    习题
    第3章 FPGA/CPLD结构与应用
    3.1 概述
    3.2 简单PLD原理
    3.3 CPLD结构与工作原理
    3.4 FPGA结构与工作原理
    3.5 FPGA/CPLD测试技术
    3.6 FPGA/CPLD产品概述
    3.7 CPLD和FPGA的编程与配置
    习题
    实验
    第4章 原理图输入设计方法
    4.1 1位全加器设计向导
    4.2 2位十进制数字频率计设计
    4.3 参数可设置LPM兆功能块
    4.4 波形输入设计方法
    习题
    实验
    第5章 VHDL设计初步
    5.1 多路选择器VHDL描述
    5.2 寄存器描述及其VHDL语言现象
    5.3 1位二进制全加器的VHDL设计
    5.4 VHDL文本输入设计方法初步
    习题
    实验
    第6章 VHDL设计进阶
    6.1 4位加法计数器的VHDL描述
    6.2 不同工作方式的时序电路设计
    6.3 数据对象DATA OBJECTS
    6.4 双向电路和三态控制电路设计
    6.5 进程语句结构
    6.6 仿真延时
    习题
    实验
    第7章 有限状态机设计
    7.1 一般有限状态机的设计
    7.2 Moore型有限状态机的设计
    7.3 Mealy型有限状态机的设计
    7.4 状态编码
    7.5 状态机剩余状态处理
    习题
    实验
    第8章 VHDL结构与要素
    8.1 实体
    8.2 结构体
    8.3 子程序(SUBPROGRAM)
    8.4 VHDL库
    8.5 VHDL程序包
    8.6 配置
    8.7 VHDL文字规则
    8.8 数据类型
    8.9 VHDL操作符
    8.10 LPM的VHDL文本方式调用
    习题
    实验
    第9章 VHDL基本语句
    9.1 顺序语句
    9.2 VHDL并行语句
    9.3 属性描述与定义语句
    习题
    实验
    第10章 设计优化和设计方法
    10.1 面积优化
    10.2 速度优化
    10.3 使用MAX+plusII优化设计
    10.4 其他设置
    习题
    实验
    第11章 EDA工具软件接口
    11.1 EDA软件接口流程
    11.2 Synplify与MAX+plusII的接口
    11.3 Synplify与ispEXPERT Compiler的接口
    11.4 ModelSim与MAX+plusII的接口
    11.5 从MAX+plusII向QuartusII转换
    习题
    实验
    第12章 电子系统设计实践
    12.1 等精度频率计设计
    12.2 高速A/D采样控制设计
    12.3 VGA图像显示控制器设计
    12.4 直接数字合成器(DDS)设计
    12.5 使用IP Core设计FIR滤波器
    12.6 通过异步收发器(UART)设计
    习题
    实验
    附录 习题参考答案
    参考文献
帮助中心
公司简介
联系我们
常见问题
新手上路
发票制度
积分说明
购物指南
配送方式
配送时间及费用
配送查询说明
配送范围
快递查询
售后服务
退换货说明
退换货流程
投诉或建议
版权声明
经营资质
营业执照
出版社经营许可证